加入星計(jì)劃,您可以享受以下權(quán)益:

  • 創(chuàng)作內(nèi)容快速變現(xiàn)
  • 行業(yè)影響力擴(kuò)散
  • 作品版權(quán)保護(hù)
  • 300W+ 專業(yè)用戶
  • 1.5W+ 優(yōu)質(zhì)創(chuàng)作者
  • 5000+ 長(zhǎng)期合作伙伴
立即加入
  • 正文
    • 前言
    • 正文
    • 總結(jié)
  • 推薦器件
  • 相關(guān)推薦
  • 電子產(chǎn)業(yè)圖譜
申請(qǐng)入駐 產(chǎn)業(yè)圖譜

講個(gè)SystemVerilog disable語(yǔ)句的坑

09/04 08:55
877
閱讀需 11 分鐘
加入交流群
掃碼加入
獲取工程師必備禮包
參與熱點(diǎn)資訊討論

前言

記錄個(gè)使用SystemVerilog disable語(yǔ)句時(shí)遇到的坑,這個(gè)坑有點(diǎn)反直覺,以至于我當(dāng)時(shí)有點(diǎn)不信,覺得可能是EDA仿真工具的問題。后來查看了SystemVerilog手冊(cè)和使用不同EDA工具進(jìn)行驗(yàn)證,才慢慢接受了。結(jié)論是:SystemVerilog disable block_name或task時(shí),會(huì)把hierarchy一致的block_name或task的線程都停掉。

正文

為了闡述這個(gè)問題,先看以下代碼例子:

class disable_class extends uvm_object;
    int gcnt = 10;
    function new(string name = "disable_class");        super.new(name);    endfunction : new
    task abc();        begin : thread1            $display("%m %s time[%0t] thread1 begin new", get_name(), $time);            #100ns            $display("%m %s time[%0t] thread1 end new", get_name(), $time);        end    endtask
    task main();        fork            begin : thread1                $display("%m %s time[%0t] thread1 begin", get_name(), $time);                #6ns;                $display("%m %s time[%0t] thread1 end", get_name(), $time);            end            begin : thread2                #gcnt;                disable thread1;                $display("%s time[%0t] thread2 end, %m", get_name(), $time);            end            abc();        join        $display("%s time[%0t] main task end", get_name(), $time);    endtask : main
endclass : disable_class

disable_class類中main task()使用fork…join啟動(dòng)了3個(gè)線程:

thread1為延遲6ns后退出;

thread2延遲gcnt時(shí)間后,使用disable把thread1停掉,至于thread2有沒有機(jī)會(huì)把thread1停掉,得看變量gcnt的值。如果gcnt小于6ns,那么在執(zhí)行到disable thread1語(yǔ)句時(shí),thread1早就結(jié)束了。如果gcnt大于6ns,那么thread2還是可以把thread1停掉的;

thread3調(diào)用task abc(),abc()任務(wù)里面定義了名為thread1的block塊,延遲100ns后退出;

測(cè)試代碼如下:

disable_class dc1 = new("dc1");disable_class dc2 = new("dc2");dc1.gcnt = 2;dc2.gcnt = 8;fork    dc1.main();    dc2.main();    begin : thread1         $display("m example time[%0t] thread1 begin", $time);         #20ns         $display("%m example time[%0t] thread1 end", $time);    endjoin

disable_class類例化了兩次,使用dc1和dc2句柄指向它們。dc1句柄的gcnt為2,dc2句柄的gcnt為8。然后使用fork啟動(dòng)了三個(gè)線程,前兩個(gè)線程分別調(diào)用了dc1和dc2的main() task。第三個(gè)定義了名稱thread1的block塊,延遲20ns后退出。

仿真結(jié)果如下:

example_pkg::disable_class.main.thread1 dc1 time[0.000ns] thread1 beginexample_pkg::disable_class.abc.thread1 dc1 time[0.000ns] thread1 begin newexample_pkg::disable_class.main.thread1 dc2 time[0.000ns] thread1 beginexample_pkg::disable_class.abc.thread1 dc2 time[0.000ns] thread1 begin newexample_pkg::example_agent.run_phase.thread1 example time[0.000ns] thread1 begindc1 time[2.000ns] thread2 end, example_pkg::disable_class.main.thread2dc2 time[8.000ns] thread2 end, example_pkg::disable_class.main.thread2example_pkg::example_agent.run_phase.thread1 example time[20.000ns] thread1 endexample_pkg::disable_class.abc.thread1 dc1 time[100.000ns] thread1 end newdc1 time[100.000ns] main task endexample_pkg::disable_class.abc.thread1 dc2 time[100.000ns] thread1 end newdc2 time[100.000ns] main task end

在0ns時(shí),dc1的main()/abc() task、dc2的main()/abc() task和測(cè)試代碼thread1其開始執(zhí)行并打印出響應(yīng)消息。

在2ns時(shí),dc1的thread2結(jié)束,根據(jù)disable_class類可知,這時(shí)候thread1被disable了,因此dc1的thread1的“$display("%m %s time[%0t] thread1 end", get_name(), $time);”代碼無法被執(zhí)行到。這里就有個(gè)疑問了:dc2的thread1是否也會(huì)被disable嗎?答案是也會(huì)被disable的。因此仿真結(jié)果中,雖然dc1 thread2執(zhí)行了disable thread1,但dc2中thread1也無法打印出”thread1 end”相關(guān)的消息了。這里就是本文的重點(diǎn)了,在$display打印中我們用%m,把thread1的hierarchy也打印出來,我們可以發(fā)現(xiàn),dc1和dc2雖然是兩個(gè)不同的句柄,但是它們thread1的層次還是一模一樣的,都是example_pkg::disable_class.main.thread1。SystemVerilog disable block_name的方式會(huì)把所有hierarchy一致的block_name都停掉的,故而我們可以看到20ns100ns的example_pkg::example_agent.run_phase.thread1和example_pkg::disable_class.abc.thread1都沒有被disable掉(因?yàn)殡m然它們block_name都是thread1,但是它們hierarchy不一致的)。

總結(jié)

SystemVerilog disable block_name或task時(shí),會(huì)把hierarchy一致的block_name或task的線程都停掉。不管一個(gè)class例化多少次,這些句柄內(nèi)部的block_name和task的hierarchy還是一樣的,因此只要其中任何一個(gè)句柄調(diào)用了disable block_name或task,那么其它句柄的對(duì)應(yīng)線程也會(huì)被disable掉。這些行為如果我們提前知道,并就想利用這個(gè)特性做達(dá)成某些功能倒還好,但如果提前不了解,可能會(huì)產(chǎn)生很多意想不到的錯(cuò)誤行為。其實(shí)為了精準(zhǔn)的控制線程狀態(tài),推薦大家可以用process的內(nèi)置方法(self(), status(), kill(), await()等等)來進(jìn)行。

推薦器件

更多器件
器件型號(hào) 數(shù)量 器件廠商 器件描述 數(shù)據(jù)手冊(cè) ECAD模型 風(fēng)險(xiǎn)等級(jí) 參考價(jià)格 更多信息
U.FL-R-SMT-1(01) 1 Hirose Electric Co Ltd RF Connector, 1 Contact(s), Male, Board Mount, Surface Mount Terminal, Receptacle, ROHS COMPLIANT

ECAD模型

下載ECAD模型
$1.3 查看
0430450612 1 Molex Rectangular Power Connector, 6 Contact(s), Male, Solder Terminal, HALOGEN FREE AND ROHS COMPLIANT

ECAD模型

下載ECAD模型
暫無數(shù)據(jù) 查看
0050579404 1 Molex Board Connector, 4 Contact(s), 1 Row(s), Female, Crimp Terminal, ROHS AND REACH COMPLIANT
$0.71 查看

相關(guān)推薦

電子產(chǎn)業(yè)圖譜

分享Arm architecture, AMBA, 芯片驗(yàn)證, 腳本, EDA, Linux等知識(shí)。

微信公眾號(hào)